Jürgen Teich Home Page Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2010
192Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJosef Angermeier, Sándor P. Fekete, Tom Kamphans, Nils Schweer, Jürgen Teich: Maintaining Virtual Areas on FPGAs using Strip Packing with Delays CoRR abs/1001.4493: (2010)
2009
191Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Hritam Dutta, Jürgen Teich: Parallelization Approaches for Hardware Accelerators - Loop Unrolling Versus Loop Partitioning. ARCS 2009: 16-27
190Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Frank Hannig, Jürgen Teich: Performance Matching of Hardware Acceleration Engines for Heterogeneous MPSoC Using Modular Performance Analysis. ARCS 2009: 233-245
189Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Jiali Zhai, Frank Hannig, Jürgen Teich: Impact of Loop Tiling on the Controller Logic of Acceleration Engines. ASAP 2009: 161-168
188Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRichard Membarth, Philipp Kutzer, Hritam Dutta, Frank Hannig, Jürgen Teich: Acceleration of Multiresolution Imaging Algorithms: A Comparative Study. ASAP 2009: 211-214
187Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Jürgen Teich: Exploiting data-redundancy in reliability-aware networked embedded system design. CODES+ISSS 2009: 229-238
186Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Jürgen Teich, Paul Milbredt: FlexRay schedule optimization of the static segment. CODES+ISSS 2009: 363-372
185Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Glaß, Martin Lukasiewycz, Jürgen Teich, Unmesh D. Bordoloi, Samarjit Chakraborty: Designing heterogeneous ECU networks via compact architecture encoding and hybrid timing analysis. DAC 2009: 43-46
184Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTobias Ziermann, Stefan Wildermann, Jürgen Teich: CAN+: A new backward-compatible Controller Area Network (CAN) protocol with up to 16× higher data rates. DATE 2009: 1088-1093
183Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Keinert, Hritam Dutta, Frank Hannig, Christian Haubelt, Jürgen Teich: Model-based synthesis and optimization of static multi-rate image processing algorithms. DATE 2009: 135-140
182Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Glaß, Martin Lukasiewycz, Christian Haubelt, Jürgen Teich: Incorporating graceful degradation into embedded system design. DATE 2009: 320-323
181Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Martin Streubühr, Michael Glaß, Christian Haubelt, Jürgen Teich: Combined system synthesis and communication architecture exploration for MPSoCs. DATE 2009: 472-477
180Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Christian Beckhoff, Jürgen Teich: A communication architecture for complex runtime reconfigurable systems and its implementation on spartan-3 FPGAs. FPGA 2009: 253-256
179Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLVahid Lari, Frank Hannig, Jürgen Teich: System Integration of Tightly-Coupled Reconfigurable Processor Arrays and Evaluation of Buffer Size Effects on Their Performance. ICPP Workshops 2009: 528-534
178Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRichard Membarth, Frank Hannig, Hritam Dutta, Jürgen Teich: Efficient Mapping of Multiresolution Image Filtering Algorithms on Graphics Processors. SAMOS 2009: 277-288
177Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStefan Wildermann, Tobias Ziermann, Jürgen Teich: Self-organizing Bandwidth Sharing in Priority-Based Medium Access. SASO 2009: 144-153
176Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Keinert, Martin Streubühr, Thomas Schlichter, Joachim Falk, Jens Gladigau, Christian Haubelt, Jürgen Teich, Michael Meredith: SystemCoDesigner—an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
175Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Gerstlauer, Christian Haubelt, Andy D. Pimentel, Todor Stefanov, Daniel D. Gajski, Jürgen Teich: Electronic System-Level Synthesis Methodologies. IEEE Trans. on CAD of Integrated Circuits and Systems 28(10): 1517-1530 (2009)
174Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Dmitrij Kissler, Frank Hannig, Alexey Kupriyanov, Jürgen Teich, Bernard Pottier: A holistic approach for tightly coupled reconfigurable parallel processors. Microprocessors and Microsystems - Embedded Hardware Design 33(1): 53-62 (2009)
173Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Christian Beckhoff, Jürgen Teich: Hardware Decompression Techniques for FPGA-Based Embedded Systems. TRETS 2(2): (2009)
2008
172Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJosef Angermeier, Ulrich Batzer, Mateusz Majer, Jürgen Teich, Christopher Claus, Walter Stechele: Reconfigurable HW/SW Architecture of a Real-Time Driver Assistance System. ARC 2008: 148-158
171Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Holger Ruckdeschel, Hritam Dutta, Jürgen Teich: PARO: Synthesis of Hardware Accelerators for Multi-Dimensional Dataflow-Intensive Applications. ARC 2008: 284-289
170Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRobert Brendle, Thilo Streichert, Dirk Koch, Christian Haubelt, Jürgen Teich: Dynamic Reconfiguration of FlexRay Schedules for Response Time Reduction in Asynchronous Fault-Tolerant Networks. ARCS 2008: 117-129
169Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Keinert, Christian Haubelt, Jürgen Teich: Synthesis of Multi-dimensional High-Speed FIFOs for Out-of-Order Communication. ARCS 2008: 130-143
168Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Streichert, Michael Glaß, Rolf Wanka, Christian Haubelt, Jürgen Teich: Topology-Aware Replica Placement in Fault-Tolerant Embedded Networks. ARCS 2008: 23-37
167Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich: Efficient symbolic multi-objective design space exploration. ASP-DAC 2008: 691-696
166Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniel Ziener, Jürgen Teich: Concepts for Autonomous Control Flow Checking for Embedded CPUs. ATC 2008: 234-248
165Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFelix Reimann, Michael Glabeta, Martin Lukasiewycz, Joachim Keinert, Christian Haubelt, Jürgen Teich: Symbolic voter placement for dependability-aware system synthesis. CODES+ISSS 2008: 237-242
164Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich, Richard Regler, Bardo Lang: Concurrent topology and routing optimization in automotive network integration. DAC 2008: 626-629
163Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Glaß, Martin Lukasiewycz, Felix Reimann, Christian Haubelt, Jürgen Teich: Symbolic Reliability Analysis and Optimization of ECU Networks. DATE 2008: 158-163
162Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig: Communication Network Reconfiguration Overhead Optimization in Programmable Processor Array Architectures. DSD 2008: 345-352
161Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Schaffer, Renate Merker, Frank Hannig, Jürgen Teich: Utilization of all Levels of Parallelism in a Processor Array with Subword Parallelism. DSD 2008: 391-398
160Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Falk, Joachim Keinert, Christian Haubelt, Jürgen Teich, Shuvra S. Bhattacharyya: A generalized static data flow clustering algorithm for mpsoc scheduling of multimedia applications. EMSOFT 2008: 189-198
159Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Christian Haubelt, Jürgen Teich: Efficient Reconfigurable On-Chip Buses for FPGAs. FCCM 2008: 287-290
158Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig: Optimization of Routing and Reconfiguration Overhead in Programmable Processor Array Architectures. FCCM 2008: 306-309
157Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJens Gladigau, Christian Haubelt, Jürgen Teich: Symbolic Quasi-Static Scheduling of Actor-Oriented SystemC Models. FDL 2008: 1-6
156Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Tom Kamphans, Nils Schweer, Christopher Tessars, Jan van der Veen, Josef Angermeier, Dirk Koch, Jürgen Teich: No-break dynamic defragmentation of reconfigurable devices. FPL 2008: 113-118
155Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Christian Beckhoff, Jürgen Teich: ReCoBus-Builder - A novel tool and technique to build statically and dynamically reconfigurable systems for FPGAS. FPL 2008: 119-124
154Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJosef Angermeier, Mateusz Majer, Jürgen Teich, Lars Braun, T. Schwalb, Philipp Graf, Michael Hübner, Jürgen Becker, Enno Lübbers, Marco Platzner, Christopher Claus, Walter Stechele, Andreas Herkersdorf, Markus Rullmann, Renate Merker: Fine grain reconfigurable architectures. FPL 2008: 348
153Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSven Eisenhardt, Thomas Schweizer, Julio A. de Oliveira Filho, Tobias Oppold, Wolfgang Rosenstiel, Alexander Thomas, Jürgen Becker, Frank Hannig, Dmitrij Kissler, Hritam Dutta, Jürgen Teich, Heiko Hinkelmann, Peter Zipf, Manfred Glesner: Coarse-grained reconfiguration. FPL 2008: 349
152Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig: Area and reconfiguration time minimization of the communication network in regular 2D reconfigurable architectures. FPL 2008: 391-396
151Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristopher Claus, Walter Stechele, Matthias Kovatsch, Josef Angermeier, Jürgen Teich: A comparison of embedded reconfigurable video-processing architectures. FPL 2008: 587-590
150Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStefan Wildermann, Jürgen Teich: A Sequential Learning Resource Allocation Network for Image Processing Applications. HIS 2008: 132-137
149Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich: A feasibility-preserving local search operator for constrained discrete optimization problems. IEEE Congress on Evolutionary Computation 2008: 1968-1975
148Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMateusz Majer, Stefan Wildermann, Josef Angermeier, Stefan Hanke, Jürgen Teich: Co-design Architecture and Implementation for Point-Based Rendering on FPGAs. IEEE International Workshop on Rapid System Prototyping 2008: 142-148
147Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJosef Angermeier, Jürgen Teich: Heuristics for scheduling reconfigurable devices with consideration of reconfiguration overheads. IPDPS 2008: 1-8
146Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Zebelein, Joachim Falk, Christian Haubelt, Jürgen Teich: Classification of General Data Flow Actors into Known Models of Computation. MEMOCODE 2008: 119-128
145Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDmitrij Kissler, Andreas Strawetz, Frank Hannig, Jürgen Teich: Power-Efficient Reconfiguration Control in Coarse-Grained Dynamically Reconfigurable Architectures. PATMOS 2008: 307-317
144Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Jürgen Teich: A Feasibility-Preserving Crossover and Mutation Operator for Constrained Combinatorial Problems. PPSN 2008: 919-928
143Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStefan Wildermann, Jürgen Teich: 3D Person Tracking with a Color-Based Particle Filter. RobVis 2008: 327-340
142Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Glaß, Martin Lukasiewycz, Felix Reimann, Christian Haubelt, Jürgen Teich: Symbolic Reliability Analysis of Self-healing Networked Embedded Systems. SAFECOMP 2008: 139-152
141Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Jan van der Veen, Ali Ahmadinia, Diana Göhringer, Mateusz Majer, Jürgen Teich: Offline and Online Aspects of Defragmenting the Module Layout of a Partially Reconfigurable Device. IEEE Trans. VLSI Syst. 16(9): 1210-1219 (2008)
140Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniel Ziener, Jürgen Teich: Power Signature Watermarking of IP Cores for FPGAs. Signal Processing Systems 51(1): 123-136 (2008)
139no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich: Invasive Algorithms and Architectures (Invasive Algorithmen und Architekturen). it - Information Technology 50(5): 300-310 (2008)
2007
138no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSoonhoi Ha, Kiyoung Choi, Nikil D. Dutt, Jürgen Teich: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007 ACM 2007
137Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexey Kupriyanov, Frank Hannig, Dmitrij Kissler, Jürgen Teich, Julien Lallet, Olivier Sentieys, Sébastien Pillement: Modeling of Interconnection Networks in Massively Parallel Processor Architectures. ARCS 2007: 268-282
136Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Glaß, Martin Lukasiewycz, Thilo Streichert, Christian Haubelt, Jürgen Teich: Interactive presentation: Reliability-aware system synthesis. DATE 2007: 409-414
135no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Frank Hannig, Holger Ruckdeschel, Hritam Dutta, Dmitrij Kissler, Andrej Stravet: A Unified Retargetable Design Methodology for Dedicated and Re-Programmable Multiprocessor Arrays: Case Study and Quantitative Evaluation. ERSA 2007: 14-24
134Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Keinert, Joachim Falk, Christian Haubelt, Jürgen Teich: Actor-Oriented Modeling and Simulation of Sliding Window Image Processing Algorithms. ESTImedia 2007: 113-118
133Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJens Gladigau, Christian Haubelt, Bernhard Niemann, Jürgen Teich: Mapping Actor-Oriented Models to TLM Architectures. FDL 2007: 128-133
132Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Christian Haubelt, Jürgen Teich: Efficient hardware checkpointing: concepts, overhead analysis, and implementation. FPGA 2007: 188-196
131Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Keinert, Christian Haubelt, Jürgen Teich: Simulative Buffer Analysis of Local Image Processing Algorithms Described by Windowed Synchronous Data Flow. ICSAMOS 2007: 161-168
130Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich: SAT-decoding in evolutionary algorithms for discrete constrained optimization problems. IEEE Congress on Evolutionary Computation 2007: 935-942
129Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Christian Haubelt, Thilo Streichert, Jürgen Teich: Modeling and Synthesis of Hardware-Software Morphing. ISCAS 2007: 2746-2749
128no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Frank Hannig, Alexey Kupriyanov, Dmitrij Kissler, Jürgen Teich, Rainer Schaffer, Sebastian Siegel, Renate Merker, Bernard Pottier: Massively Parallel Processor Architectures: A Co-design Approach. ReCoSoC 2007: 61-68
127Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich: Solving Multi-objective Pseudo-Boolean Problems. SAT 2007: 56-69
126Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexey Kupriyanov, Dmitrij Kissler, Frank Hannig, Jürgen Teich: Efficient event-driven simulation of parallel processor architectures. SCOPES 2007: 71-80
125Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Streichert, Michael Glaß, Christian Haubelt, Jürgen Teich: Design space exploration of reliable networked embedded systems. Journal of Systems Architecture 53(10): 751-763 (2007)
124Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Frank Hannig, Holger Ruckdeschel, Jürgen Teich: Efficient control generation for mapping nested loop programs onto processor arrays. Journal of Systems Architecture 53(5-6): 300-309 (2007)
123Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMateusz Majer, Jürgen Teich, Ali Ahmadinia, Christophe Bobda: The Erlangen Slot Machine: A Dynamically Reconfigurable FPGA-based Computer. VLSI Signal Processing 47(1): 15-31 (2007)
122Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich: Reconfigurable Computing Systems (Rekonfigurierbare Rechensysteme). it - Information Technology 49(3): 139- (2007)
121Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJosef Angermeier, Diana Göhringer, Mateusz Majer, Jürgen Teich, Sándor P. Fekete, Jan van der Veen: The Erlangen Slot Machine - A Platform for Interdisciplinary Research in Dynamically Reconfigurable Computing (ESM - Eine Hardware-Plattform für interdisziplinäre Forschung im Bereich des dynamischen rekonfigurierbaren Rechnens). it - Information Technology 49(3): 143- (2007)
2006
120no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPeter M. Athanas, Jürgen Becker, Gordon J. Brebner, Jürgen Teich: Dynamically Reconfigurable Architectures, 02.04. - 07.04.2006 Internationales Begegnungs- und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl, Germany 2006
119Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Frank Hannig, Jürgen Teich: Controller Synthesis for Mapping Partitioned Programs on Array Architectures. ARCS 2006: 176-190
118Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Thilo Streichert, Steffen Dittrich, Christian Strengert, Christian Haubelt, Jürgen Teich: An Operating System Infrastructure for Fault-Tolerant Reconfigurable Networks. ARCS 2006: 202-216
117no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMateusz Majer, Ali Ahmadinia, Christophe Bobda, Jürgen Teich: A Flexible Reconfiguration Manager for the Erlangen Slot Machine. ARCS Workshops 2006: 183-194
116Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Frank Hannig, Jürgen Teich, Benno Heigl, Heinz Hornegger: A Design Methodology for Hardware Acceleration of Adaptive Filter Algorithms in Image Processing. ASAP 2006: 331-340
115no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDmitrij Kissler, Alexey Kupriyanov, Frank Hannig, Dirk Koch, Jürgen Teich: A Generic Framework for Rapid Prototyping of System-on-Chip Designs. CDES 2006: 189-195
114Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich: Are current ESL tools meeting the requirements of advanced embedded systems? CODES+ISSS 2006: 166
113Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Streubühr, Joachim Falk, Christian Haubelt, Jürgen Teich, Rainer Dorsch, Thomas Schlipf: Task-accurate performance modeling in SystemC for real-time multi-processor architectures. DATE 2006: 480-481
112Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Becker, Jürgen Teich, Gordon J. Brebner, Peter M. Athanas: 06141 Abstracts Collection -- Dynamically Reconfigurable Architectures. Dynamically Reconfigurable Architectures 2006
111Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Becker, Jürgen Teich, Gordon J. Brebner, Peter M. Athanas: 06141 Executive Summary -- Dynamically Reconfigurable Architectures. Dynamically Reconfigurable Architectures 2006
110Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDiana Göhringer, Mateusz Majer, Jürgen Teich: Bridging the Gap between Relocatability and Available Technology: The Erlangen Slot Machine. Dynamically Reconfigurable Architectures 2006
109Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich: Symbolic Archive Representation for a Fast Nondominance Test. EMO 2006: 111-125
108no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Matthiaas Koerber, Jürgen Teich: Searching RC5-Keys with Distributed Reconfigurable Computing. ERSA 2006: 42-48
107Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Stefanos Kaxiras, Toomas P. Plaks, Krisztián Flautner: Topic 18: Embedded Parallel Systems. Euro-Par 2006: 1179
106Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJoachim Falk, Christian Haubelt, Jürgen Teich: Efficient Representation and Simulation of Model-Based Designs. FDL 2006: 129-135
105Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniel Ziener, Stefan Assmus, Jürgen Teich: Identifying FPGA IP-Cores Based on Lookup Table Content Analysis. FPL 2006: 1-6
104Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Jan van der Veen, Mateusz Majer, Jürgen Teich: Minimizing Communication Cost for Reconfigurable Slot Modules. FPL 2006: 1-6
103Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Streichert, Christian Haubelt, Jürgen Teich: Multi-Objective Topology Optimization for Networked Embedded Systems. ICSAMOS 2006: 93-98
102Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThomas Schlichter, Martin Lukasiewycz, Christian Haubelt, Jürgen Teich: Improving System Level Design Space Exploration by Incorporating SAT-Solvers into Multi-Objective Evolutionary Algorithms. ISVLSI 2006: 309-316
101Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHritam Dutta, Frank Hannig, Jürgen Teich: Hierarchical Partitioning for Piecewise Linear Algorithms. PARELEC 2006: 153-160
100no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDmitrij Kissler, Frank Hannig, Alexey Kupriyanov, Jürgen Teich: A Dynamically Reconfigurable Weakly Programmable Processor Array Architecture Template. ReCoSoC 2006: 31-37
99Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Streichert, Christian Strengert, Christian Haubelt, Jürgen Teich: Dynamic task binding for hardware/software reconfigurable networks. SBCCI 2006: 38-43
98Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Hritam Dutta, Jürgen Teich: Mapping a class of dependence algorithms to coarse-grained reconfigurable arrays: architectural parameters and methodology. IJES 2(1/2): 114-127 (2006)
97Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Ekkehard Köhler, Jürgen Teich: Higher-Dimensional Packing with Order Constraints. SIAM J. Discrete Math. 20(4): 1056-1078 (2006)
96Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Shuvra S. Bhattacharyya: Analysis of Dataflow Programs with Interval-limited Data-rates. VLSI Signal Processing 43(2-3): 247-258 (2006)
2005
95Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThomas Schlichter, Christian Haubelt, Frank Hannig, Jürgen Teich: Using Symbolic Feasibility Tests during Design Space Exploration of Heterogeneous Multi-Processor Systems. ASAP 2005: 9-14
94Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Stephan Otto, Cornelia Grabbe, Jürgen Teich: A system-level approach to hardware reconfigurable systems. ASP-DAC 2005: 298-301
93Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Streichert, Christian Haubelt, Jürgen Teich: Online hardware/software partitioning in networked embedded systems. ASP-DAC 2005: 982-985
92Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLS. Helwig, Christian Haubelt, Jürgen Teich: Modeling and analysis of indirect communication in particle swarm optimization. Congress on Evolutionary Computation 2005: 1246-1253
91Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Streichert, Christian Haubelt, Jürgen Teich: Distributed HW/SW-Partitioning for Embedded Reconfigurable Networks. DATE 2005: 894-895
90Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Jürgen Gamenik, Jürgen Teich: Initial Population Construction for Convergence Improvement of MOEAs. EMO 2005: 191-205
89no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Jürgen Teich: Output Serialization for FPGA-based and Coarse-grained Processor Arrays. ERSA 2005: 78-84
88no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJan van der Veen, Sándor P. Fekete, Mateusz Majer, Ali Ahmadinia, Christophe Bobda, Frank Hannig, Jürgen Teich: Defragmenting the Module Layout of a Partially Reconfigurable Device. ERSA 2005: 92-104
87Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Bobda, Mateusz Majer, Ali Ahmadinia, Thomas Haller, André Linarth, Jürgen Teich, Sándor P. Fekete, Jan van der Veen: The Erlangen Slot Machine: A Highly Flexible FPGA-Based Reconfigurable Platform. FCCM 2005: 319-320
86no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Bobda, Ali Ahmadinia, Mateusz Majer, Jürgen Teich, Sándor P. Fekete, Jan van der Veen: DyNoC: A Dynamic Infrastructure for Communication in Dynamically Reconfigurable Devices. FPL 2005: 153-158
85no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Bobda, Mateusz Majer, Ali Ahmadinia, Thomas Haller, André Linarth, Jürgen Teich: The Erlangen Slot Machine: Increasing Flexibility in FPGA-Based Reconfigurable Platforms. FPT 2005: 37-42
84Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThomas Schlichter, Christian Haubelt, Jürgen Teich: Improving EA-based design space exploration by utilizing symbolic feasibility tests. GECCO 2005: 1945-1952
83no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Marek Jersak, Kai Richter, Karsten Strehl, Dirk Ziegenbein, Rolf Ernst, Jürgen Teich, Lothar Thiele: SPI-Workbench - Modellierung, Analyse und Optimierung eingebetteter Systeme. GI Jahrestagung (2) 2005: 693-697
82Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Ji Ding, Mateusz Majer, Jürgen Teich, Sándor P. Fekete, Jan van der Veen: A Practical Approach for Circuit Routing on Dynamic Reconfigurable Devices. IEEE International Workshop on Rapid System Prototyping 2005: 84-90
81Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMateusz Majer, Christophe Bobda, Ali Ahmadinia, Jürgen Teich: Packet Routing in Dynamically Changing Networks on Chip. IPDPS 2005
80Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSanaz Mostaghim, Jürgen Teich: A New Approach on Many Objective Diversity Measurement. Practical Approaches to Multi-Objective Optimization 2005
79no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Hritam Dutta, Alexey Kupriyanov, Jürgen Teich, Rainer Schaffer, Sebastian Siegel, Renate Merker, Ronan Keryell, Bernard Pottier, Daniel Chillet, Daniel Menard, Olivier Sentieys: Co-Design of Massively Parallel Embedded Processor Architectures. ReCoSoC 2005: 27-34
78Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHolger Ruckdeschel, Hritam Dutta, Frank Hannig, Jürgen Teich: Automatic FIR Filter Generation for FPGAs. SAMOS 2005: 51-61
77Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Ji Ding, Mateusz Majer, Jürgen Teich, Sándor P. Fekete, Jan van der Veen: A Practical Approach for Circuit Routing on Dynamic Reconfigurable Devices CoRR abs/cs/0503066: (2005)
76Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJan van der Veen, Sándor P. Fekete, Ali Ahmadinia, Christophe Bobda, Frank Hannig, Jürgen Teich: Defragmenting the Module Layout of a Partially Reconfigurable Device CoRR abs/cs/0505005: (2005)
75Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Bobda, Ali Ahmadinia, Mateusz Majer, Jürgen Teich, Sándor P. Fekete, Jan van der Veen: DyNoC: A Dynamic Infrastructure for Communication in Dynamically Reconfigurable Devices CoRR abs/cs/0510039: (2005)
74Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Jürgen Teich: Online placement for dynamically reconfigurable devices. IJES 1(3/4): 165-178 (2005)
2004
73Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Jürgen Teich: A Dynamic Scheduling and Placement Algorithm for Reconfigurable Hardware. ARCS 2004: 125-139
72no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Bobda, Ali Ahmadinia, Jürgen Teich: Generation of Distributed Arithmetic Designs for Reconfigurable Application. ARCS Workshops 2004: 205-214
71Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Jürgen Teich: Resource Constrained and Speculative Scheduling of an Algorithm Class with Run-Time Dependent Conditionals. ASAP 2004: 17-27
70Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Koch, Jürgen Teich: Platform-independent methodology for partial reconfiguration. Conf. Computing Frontiers 2004: 398-403
69Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristophe Bobda, Mateusz Majer, Dirk Koch, Ali Ahmadinia, Jürgen Teich: A Dynamic NoC Approach for Communication in Reconfigurable Devices. FPL 2004: 1032-1036
68Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen: Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices. FPL 2004: 847-851
67Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNeal K. Bambha, Shuvra S. Bhattacharyya, Jürgen Teich, Eckart Zitzler: Systematic Integration of Parameterized Local Search Techniques in Evolutionary Algorithms. GECCO (2) 2004: 383-384
66Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Marcus Bednara, Jürgen Teich: A New Approach for On-line Placement on Reconfigurable Devices. IPDPS 2004
65Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Hritam Dutta, Jürgen Teich: Mapping of Regular Nested Loop Programs to Coarse-Grained Reconfigurable Arrays - Constraints and Methodology. IPDPS 2004
64Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Marcus Bednara, Jürgen Teich: Real-Time Configuration Code Decompression for Dynamic FPGA Self-Reconfiguration. IPDPS 2004
63Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Jürgen Teich: Dynamic Piecewise Linear/Regular Algorithms. PARELEC 2004: 79-84
62Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Dirk Koch, Jürgen Teich: Basic OS Support for Distributed Reconfigurable Hardware. SAMOS 2004: 30-38
61Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Shuvra S. Bhattacharyya: Analysis of Dataflow Programs with Interval-Limited Data-Rates. SAMOS 2004: 507-518
60Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexey Kupriyanov, Frank Hannig, Jürgen Teich: High-Speed Event-Driven RTL Compiled Simulation. SAMOS 2004: 519-529
59Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Dirk Koch, Mateusz Majer, Jürgen Teich: Task scheduling for heterogeneous reconfigurable computers. SBCCI 2004: 22-27
58Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen: Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices CoRR cs.DS/0406035: (2004)
57no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNeal K. Bambha, Shuvra S. Bhattacharyya, Jürgen Teich, Eckart Zitzler: Systematic integration of parameterized local search into evolutionary algorithms. IEEE Trans. Evolutionary Computation 8(2): 137-155 (2004)
2003
56Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJens Gerling, Oliver Stübbe, Jürgen Schrage, Gerd Mrozynski, Jürgen Teich: Improved Time Domain Simulation of Optical Multimode Intrasystem Interconnects. DATE 2003: 11110-11111
55Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Jürgen Teich, Rainer Feldmann, Burkhard Monien: SAT-Based Techniques in System Synthesis. DATE 2003: 11168-11169
54Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOliver Schütze, Sanaz Mostaghim, Michael Dellnitz, Jürgen Teich: Covering Pareto Sets by Multilevel Evolutionary Subdivision Techniques. EMO 2003: 118-132
53Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Sanaz Mostaghim, Jürgen Teich, Ambrish Tyagi: Solving Hierarchical Optimization Problems Using MOEAs. EMO 2003: 162-176
52Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Feldmann, Christian Haubelt, Burkhard Monien, Jürgen Teich: Fault Tolerances Analysis of Distributed Reconfigurable Systems Using SAT-Based Techniques. FPL 2003: 478-487
51Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLCornelia Grabbe, Marcus Bednara, Joachim von zur Gathen, Jamshid Shokrollahi, Jürgen Teich: A High Performance VLIW Processor for Finite Field Arithmetic. IPDPS 2003: 189
50Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLCornelia Grabbe, Marcus Bednara, Jürgen Teich, Joachim von zur Gathen, Jamshid Shokrollahi: FPGA designs of parallel high performance GF(2233) multipliers. ISCAS (2) 2003: 268-271
49Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Dirk Koch, Jürgen Teich: ReCoNet: Modeling and Implementation of Fault Tolerant Distributed Reconfigurable Hardware. SBCCI 2003: 343-348
48no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAli Ahmadinia, Jürgen Teich: Speeding up Online Placement for XILINX FPGAs by Reducing Configuration Overhead. VLSI-SOC 2003: 118-122
47Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Ekkehard Köhler, Jürgen Teich: Higher-Dimensional Packing with Order Constraints CoRR cs.DS/0308006: (2003)
46Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Fischer, Jürgen Teich, Ralph Weper, Michael Thies: BUILDABONG: A Framework for Architecture/Compiler Co-Exploration for ASIPs. Journal of Circuits, Systems, and Computers 12(3): 353- (2003)
45Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcus Bednara, Jürgen Teich: Automatic Synthesis of FPGA Processor Arrays from Loop Algorithms. The Journal of Supercomputing 26(2): 149-165 (2003)
2002
44no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEd F. Deprettere, Jürgen Teich, Stamatis Vassiliadis: Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation - SAMOS Springer 2002
43Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Fischer, Jürgen Teich, Michael Thies, Ralph Weper: Efficient architecture/compiler co-exploration for ASIPs. CASES 2002: 27-34
42Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Markus Köster: (Self-)reconfigurable Finite State Machines: Theory and Implementation. DATE 2002: 559-567
41Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Jürgen Teich, Kai Richter, Rolf Ernst: System Design for Flexibility. DATE 2002: 854-861
40Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Lothar Thiele: Exact Partitioning of Affine Dependence Algorithms. Embedded Processor Design Challenges 2002: 135-153
39Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcus Bednara, Frank Hannig, Jürgen Teich: Generation of Distributed Loop Control. Embedded Processor Design Challenges 2002: 154-170
38Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Haubelt, Jürgen Teich, Kai Richter, Rolf Ernst: Flexibility/Cost-Tradeoffs of Platform-Based Systems. Embedded Processor Design Challenges 2002: 38-56
37Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcus Bednara, M. Daldrup, Joachim von zur Gathen, Jamshid Shokrollahi, Jürgen Teich: Reconfigurable Implementation of Elliptic Curve Crypto Algorithms. IPDPS 2002
36Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcus Bednara, M. Daldrup, Jürgen Teich, Joachim von zur Gathen, Jamshid Shokrollahi: Tradeoff analysis of FPGA based elliptic curve cryptography. ISCAS (5) 2002: 797-800
35Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Jürgen Teich: Energy estimation of nested loop programs. SPAA 2002: 149-150
34Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Ziegenbein, Kai Richter, Rolf Ernst, Lothar Thiele, Jürgen Teich: SPI - a system model for heterogeneously specified embedded systems. IEEE Trans. VLSI Syst. 10(4): 379-389 (2002)
2001
33Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Fischer, Jürgen Teich, Ralph Weper, Uwe Kastens, Michael Thies: Design space characterization for architecture/compiler co-exploration. CASES 2001: 108-115
32Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNeal K. Bambha, Shuvra S. Bhattacharyya, Jürgen Teich, Eckart Zitzler: Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors. CODES 2001: 243-248
31Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Ekkehard Köhler, Jürgen Teich: Optimal FPGA module placement with temporal precedence constraints. DATE 2001: 658-667
30Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich: Pareto-Front Exploration with Uncertain Objectives. EMO 2001: 314-328
29Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrank Hannig, Jürgen Teich: Design Space Exploration for Massively Parallel Processor Arrays. PaCT 2001: 51-65
28Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Ekkehard Köhler, Jürgen Teich: Higher-Dimensional Packing with Order Constraints. WADS 2001: 300-312
27Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSándor P. Fekete, Ekkehard Köhler, Jürgen Teich: Extending Partial Suborders. Electronic Notes in Discrete Mathematics 8: 34-37 (2001)
26Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKarsten Strehl, Lothar Thiele, Matthias Gries, Dirk Ziegenbein, Rolf Ernst, Jürgen Teich: FunState-an internal design representation for codesign. IEEE Trans. VLSI Syst. 9(4): 524-544 (2001)
25no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Sándor P. Fekete, Jörg Schepers: Optimization of Dynamic Hardware Reconfigurations. The Journal of Supercomputing 19(1): 57-75 (2001)
2000
24Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcus Bednara, Oliver Beyer, Jürgen Teich, Rolf Wanka: Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter. ASAP 2000: 299-308
23Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Philipp W. Kutter, Ralph Weper: Description and Simulation of Microprocessor Instruction Sets Using ASMs. Abstract State Machines 2000: 266-286
22Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Ralph Weper, Dirk Fischer, Stefan Trinkert: A joined architecture/compiler design environment for ASIPs. CASES 2000: 26-33
21no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLF. Cieslok, H. Esau, Jürgen Teich: EXPLORA - Generic Design Space Exploration during Embedded System Synthesis. DIPES 2000: 215-226
20Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEckart Zitzler, Jürgen Teich, S. S. Bhattclcharyya: Evolutionary algorithms for the synthesis of embedded software. IEEE Trans. VLSI Syst. 8(4): 452-455 (2000)
19no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLothar Thiele, Jürgen Teich, Karsten Strehl: Regular state machines. Parallel Algorithms Appl. 15(3-4): 265-300 (2000)
18Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEckart Zitzler, Jürgen Teich, Shuvra S. Bhattacharyya: Multidimensional Exploration of Software Implementations for DSP Algorithms. VLSI Signal Processing 24(1): 83-98 (2000)
1999
17Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Eckart Zitzler, Shuvra S. Bhattacharyya: 3D exploration of software schedules for DSP algorithms. CODES 1999: 168-172
16Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKarsten Strehl, Lothar Thiele, Dirk Ziegenbein, Rolf Ernst, Jürgen Teich: Scheduling hardware/software systems using symbolic techniques. CODES 1999: 173-177
15Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKai Richter, Dirk Ziegenbein, Rolf Ernst, Lothar Thiele, Jürgen Teich: Representation of Function Variants for Embedded System Optimization and Synthesis. DAC 1999: 517-522
14Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLothar Thiele, Karsten Strehl, Dirk Ziegenbein, Rolf Ernst, Jürgen Teich: FunState - an internal design representation for codesign. ICCAD 1999: 558-565
13no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Sándor P. Fekete, Jörg Schepers: Compile-time Optimization of Dynamic Hardware Reconfigurations. PDPTA 1999: 1097-1103
1998
12Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Eisenring, Jürgen Teich: Domain-specific interface generation from dataflow specifications. CODES 1998: 43-47
11Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Ziegenbein, Rolf Ernst, Kai Richter, Jürgen Teich, Lothar Thiele: Combining multiple models of computation for scheduling and allocation. CODES 1998: 9-13
10Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Eisenring, Jürgen Teich: Interfacing Hardware and Software. FPL 1998: 520-524
9Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Ziegenbein, Kai Richter, Rolf Ernst, Jürgen Teich, Lothar Thiele: Representation of process mode correlation for scheduling. ICCAD 1998: 54-61
8Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Eckart Zitzler, Shuvra S. Bhattacharyya: Buffer Memory Optimization in DSP Applications - An Evolutionary Approach. PPSN 1998: 885-896
1997
7Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Tobias Blickle, Lothar Thiele: An evolutionary approach to system-level synthesis. CODES 1997: 167-172
6Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Lothar Thiele, Sundararajan Sriram, Michael Martin: Performance analysis and optimization of mixed asynchronous synchronous systems. IEEE Trans. on CAD of Integrated Circuits and Systems 16(5): 473-484 (1997)
5Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Lothar Thiele, Lee Z. Zhang: Partitioning Processor Arrays under Resource Constraints. VLSI Signal Processing 17(1): 5-20 (1997)
1996
4Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Lothar Thiele, Li Zhang: Scheduling of Partitioned Regular Algorithms on Processor Arrays with Constrained Resources. ASAP 1996: 131-144
1995
3Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Lothar Thiele, Edward A. Lee: Modeling and simulation of heterogeneous real-time systems based on a deterministic discrete event model. ISSS 1995: 156-161
2Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian Schwarz, Jürgen Teich, Alek Vainshtein, Emo Welzl, Brian L. Evans: Minimal Enclosing Parallelogram with Application. Symposium on Computational Geometry 1995: C34-C35
1991
1Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Teich, Lothar Thiele: Control generation in the design of processor arrays. VLSI Signal Processing 3(1-2): 77-92 (1991)

Coauthor Index

1Ali Ahmadinia [48] [58] [59] [64] [66] [68] [69] [72] [73] [74] [75] [76] [77] [81] [82] [85] [86] [87] [88] [117] [123] [141]
2Josef Angermeier [121] [147] [148] [151] [154] [156] [172] [192]
3Stefan Assmus [105]
4Peter M. Athanas (Peter Athanas) [111] [112] [120]
5Neal K. Bambha [32] [57] [67]
6Ulrich Batzer [172]
7Jürgen Becker [111] [112] [120] [153] [154]
8Christian Beckhoff [155] [173] [180]
9Marcus Bednara [24] [36] [37] [39] [45] [50] [51] [64] [66]
10Oliver Beyer [24]
11Shuvra S. Bhattacharyya [8] [17] [18] [32] [57] [61] [67] [96] [160]
12S. S. Bhattclcharyya [20]
13Tobias Blickle [7]
14Christophe Bobda [58] [59] [64] [66] [68] [69] [72] [73] [74] [75] [76] [77] [81] [82] [85] [86] [87] [88] [117] [123]
15Unmesh D. Bordoloi [185]
16Lars Braun [154]
17Gordon J. Brebner [111] [112] [120]
18Robert Brendle [170]
19Samarjit Chakraborty [185]
20Daniel Chillet [79]
21Kiyoung Choi [138]
22F. Cieslok [21]
23Christopher Claus [151] [154] [172]
24M. Daldrup [36] [37]
25Michael Dellnitz [54]
26Ed F. Deprettere [44]
27Ji Ding [77] [82]
28Steffen Dittrich [118]
29Rainer Dorsch [113]
30Nikil D. Dutt (Nikil Dutt) [138]
31Hritam Dutta [65] [78] [79] [98] [101] [116] [119] [124] [128] [135] [153] [171] [174] [178] [183] [188] [189] [190] [191]
32Sven Eisenhardt [153]
33Michael Eisenring [10] [12]
34Rolf Ernst [9] [11] [14] [15] [16] [26] [34] [38] [41] [83]
35H. Esau [21]
36Brian L. Evans [2]
37Joachim Falk [106] [113] [134] [146] [160] [176]
38Sándor P. Fekete [13] [25] [27] [28] [31] [47] [58] [68] [75] [76] [77] [82] [86] [87] [88] [97] [104] [121] [141] [156] [192]
39Rainer Feldmann [52] [55]
40Julio A. de Oliveira Filho [153]
41Dirk Fischer [22] [33] [43] [46]
42Krisztián Flautner [107]
43Daniel Gajski (Daniel D. Gajski) [175]
44Jürgen Gamenik [90]
45Joachim von zur Gathen [36] [37] [50] [51]
46Jens Gerling [56]
47Andreas Gerstlauer [175]
48Michael Glabeta [165]
49Jens Gladigau [133] [157] [176]
50Michael Glaß [109] [125] [127] [130] [136] [142] [144] [149] [163] [164] [167] [168] [181] [182] [185] [186] [187]
51Manfred Glesner [153]
52Diana Göhringer [110] [121] [141]
53Cornelia Grabbe [50] [51] [94]
54Philipp Graf [154]
55Matthias Gries [26]
56Soonhoi Ha [138]
57Thomas Haller [85] [87]
58Stefan Hanke [148]
59Frank Hannig [29] [35] [39] [60] [63] [65] [71] [76] [78] [79] [88] [89] [95] [98] [100] [101] [115] [116] [119] [124] [126] [128] [135] [137] [145] [152] [153] [158] [161] [162] [171] [174] [178] [179] [183] [188] [189] [190] [191]
60Christian Haubelt [38] [41] [49] [52] [53] [55] [62] [83] [84] [90] [91] [92] [93] [94] [95] [99] [102] [103] [106] [109] [113] [118] [125] [127] [129] [130] [131] [132] [133] [134] [136] [142] [146] [149] [157] [159] [160] [163] [164] [165] [167] [168] [169] [170] [175] [176] [181] [182] [183]
61Benno Heigl [116]
62S. Helwig [92]
63Andreas Herkersdorf [154]
64Heiko Hinkelmann [153]
65Heinz Hornegger [116]
66Michael Hübner [154]
67Marek Jersak [83]
68Tom Kamphans (Thomas Kamphans) [156] [192]
69Uwe Kastens [33]
70Stefanos Kaxiras [107]
71Joachim Keinert [131] [134] [160] [165] [169] [176] [183]
72Ronan Keryell [79]
73Dmitrij Kissler [100] [115] [126] [128] [135] [137] [145] [153] [174]
74Dirk Koch [49] [59] [62] [69] [70] [108] [115] [118] [129] [132] [155] [156] [159] [170] [173] [180]
75Matthiaas Koerber [108]
76Ekkehard Köhler [27] [28] [31] [47] [97]
77Markus Köster (Markus Koester) [42]
78Matthias Kovatsch [151]
79Krzysztof Kuchcinski [152] [158] [162]
80Alexey Kupriyanov [60] [79] [100] [115] [126] [128] [137] [174]
81Philipp W. Kutter [23]
82Philipp Kutzer [188]
83Julien Lallet [137]
84Bardo Lang [164]
85Vahid Lari [179]
86Edward A. Lee [3]
87André Linarth [85] [87]
88Enno Lübbers [154]
89Martin Lukasiewycz [102] [109] [127] [130] [136] [142] [144] [149] [163] [164] [165] [167] [181] [182] [185] [186] [187]
90Mateusz Majer [59] [69] [75] [77] [81] [82] [85] [86] [87] [88] [104] [110] [117] [121] [123] [141] [148] [154] [172]
91Michael Martin [6]
92Richard Membarth [178] [188]
93Daniel Menard [79]
94Michael Meredith [176]
95Renate Merker [79] [128] [154] [161]
96Paul Milbredt [186]
97Burkhard Monien [52] [55]
98Sanaz Mostaghim [53] [54] [80]
99Gerd Mrozynski [56]
100Bernhard Niemann [133]
101Tobias Oppold [153]
102Stephan Otto [94]
103Sébastien Pillement [137]
104Andy D. Pimentel [175]
105Toomas P. Plaks [107]
106Marco Platzner [154]
107Bernard Pottier [79] [128] [174]
108Richard Regler [164]
109Felix Reimann [142] [163] [165]
110Kai Richter [9] [11] [15] [34] [38] [41] [83]
111Wolfgang Rosenstiel [153]
112Holger Ruckdeschel [78] [124] [135] [171]
113Markus Rullmann [154]
114Rainer Schaffer [79] [128] [161]
115Jörg Schepers [13] [25]
116Thomas Schlichter [84] [95] [102] [176]
117Thomas Schlipf [113]
118Jürgen Schrage [56]
119Oliver Schütze [54]
120T. Schwalb [154]
121Christian Schwarz [2]
122Nils Schweer [156] [192]
123Thomas Schweizer [153]
124Olivier Sentieys [79] [137]
125Jamshid Shokrollahi [36] [37] [50] [51]
126Sebastian Siegel [79] [128]
127Sundararajan Sriram [6]
128Walter Stechele [151] [154] [172]
129Todor Stefanov [175]
130Andrej Stravet [135]
131Andreas Strawetz [145]
132Karsten Strehl [14] [16] [19] [26] [83]
133Thilo Streichert [91] [93] [99] [103] [118] [125] [129] [136] [168] [170]
134Christian Strengert [99] [118]
135Martin Streubühr [113] [176] [181]
136Oliver Stübbe [56]
137Christopher Tessars [156]
138Lothar Thiele [1] [3] [4] [5] [6] [7] [9] [11] [14] [15] [16] [19] [26] [34] [40] [83]
139Michael Thies [33] [43] [46]
140Alexander Thomas [153]
141Stefan Trinkert [22]
142Ambrish Tyagi [53]
143Alek Vainshtein (Alexander Vainshtein) [2]
144Stamatis Vassiliadis [44]
145Jan van der Veen [58] [68] [75] [76] [77] [82] [86] [87] [88] [104] [121] [141] [156]
146Rolf Wanka [24] [168]
147Emo Welzl [2]
148Ralph Weper [22] [23] [33] [43] [46]
149Stefan Wildermann [143] [148] [150] [177] [184]
150Christophe Wolinski [152] [158] [162]
151Christian Zebelein [146]
152Jiali Zhai [189]
153Lee Z. Zhang [5]
154Li Zhang [4]
155Dirk Ziegenbein [9] [11] [14] [15] [16] [26] [34] [83]
156Daniel Ziener [105] [140] [166]
157Tobias Ziermann [177] [184]
158Peter Zipf [153]
159Eckart Zitzler [8] [17] [18] [20] [32] [57] [67]

Colors in the list of coauthors

Copyright © Sun Mar 14 22:39:24 2010 by Michael Ley (ley@uni-trier.de)